検索

phrase: max: clip:
target: order:
Results of 11 - 20 of about 224 for 大文字 (0.003 sec.)
大文字小文字を区別せず、 文字列の中で指定した文字列が最後に現れる位置を探す 6976
« mb_strrichr mb_strrpos » PHP Manual マルチバイト文字列 関数 大文字小文字を区別せず、 文字列の中 ... ripos (PHP 5 >= 5.2.0, PHP 7, PHP 8) mb_strripos — 大文字小文字を区別せず、 文字列の中で指定した文字列が最後に ... 具合です。 mb_strrpos() とは異なり、 mb_strripos() は大文字小文字を区別しません。 パラメータ haystack needle が ... になりました。 参考 strripos() - 文字列中で、特定の(大文字小文字を区別しない)文字列が最後に現れた位置を探す st ... に現れる位置を見つける 関連キーワード: 位置 , 最後 , 大文字 , 区別 , 小文字 , strripos , haystack , 指定 , 文字 ...
https://man.plustar.jp/php/function.mb-strripos.html - [similar]
バイナリセーフで大文字小文字を区別しない文字列比較を、最初の n 文字について行う 6913
« strnatcmp strncmp » PHP Manual String 関数 バイナリセーフで大文字小文字を区別しない文字列比較を ... PHP 5, PHP 7, PHP 8) strncasecmp — バイナリセーフで大文字小文字を区別しない文字列比較を、最初の n 文字について ... 字列について、バイナリ対応で比較する strcasecmp() - 大文字小文字を区別しないバイナリセーフな文字列比較を行う s ... tristr() - 大文字小文字を区別しない strstr substr() - 文字列の一部分を ... 返す 関連キーワード: 比較 , バイナリ , 大文字 , 小文字 , 区別 , 最初 , セーフ , 文字 , n , strnc ...
https://man.plustar.jp/php/function.strncasecmp.html - [similar]
文字列を大文字にする 6893
« mb_strtolower mb_strwidth » PHP Manual マルチバイト文字列 関数 文字列を大文字にする mb_strtoup ... .3.0, PHP 5, PHP 7, PHP 8) mb_strtoupper — 文字列を大文字にする 説明 mb_strtoupper ( string $string , ? strin ... = null ): string string のすべてのアルファベットを大文字にして返します。 パラメータ string 大文字に変換したい ... 使用します。 戻り値 string のすべてのアルファベットを大文字にしたものを返します。 例 例1 mb_strtoupper() の例 < ... ケースフォールディングを行う strtoupper() - 文字列を大文字にする 関連キーワード: 大文字 , strtoupper , string ...
https://man.plustar.jp/php/function.mb-strtoupper.html - [similar]
大文字小文字を区別せず、 別の文字列の中である文字が最後に現れる場所を探す 6861
« mb_strrchr mb_strripos » PHP Manual マルチバイト文字列 関数 大文字小文字を区別せず、 別の文字列 ... richr (PHP 5 >= 5.2.0, PHP 7, PHP 8) mb_strrichr — 大文字小文字を区別せず、 別の文字列の中である文字が最後に現 ... 返します。 mb_strrchr() とは異なり、 mb_strrichr() は大文字小文字を区別しません。 needle が見つからなかった場合 ... ng は、nullable になりました。 参考 mb_stristr() - 大文字小文字を区別せず、 文字列の中で指定した文字列が最初に ... に現れる場所を見つける 関連キーワード: 最後 , 文字 , 大文字 , 区別 , 場所 , 小文字 , haystack , 別 , strrichr ...
https://man.plustar.jp/php/function.mb-strrichr.html - [similar]
"自然順"アルゴリズムにより文字列比較を行う 6861
« strnatcasecmp strncasecmp » PHP Manual String 関数 "自然順"アルゴリズムにより文字列比較を行う ... す。この手法は、"自然順" と言われます。 この比較は、大文字小文字を区別することに注意してください。 パラメータ ... () - 正規表現によるマッチングを行う strcasecmp() - 大文字小文字を区別しないバイナリセーフな文字列比較を行う s ... ubstr() - 文字列の一部分を返す stristr() - 大文字小文字を区別しない strstr strcmp() - バイナリセーフな ... フな文字列比較を行う strncasecmp() - バイナリセーフで大文字小文字を区別しない文字列比較を、最初の n 文字について ...
https://man.plustar.jp/php/function.strnatcmp.html - [similar]
文字列の各単語の最初の文字を大文字にする 6861
« ucfirst utf8_decode » PHP Manual String 関数 文字列の各単語の最初の文字を大文字にする ucwords ... HP 7, PHP 8) ucwords — 文字列の各単語の最初の文字を大文字にする 説明 ucwords ( string $string , string $separ ... アルファベットの場合、 string の各単語の最初の文字を大文字にしたものを返します。 この関数における "単語" とは、 ... データに対応しています。 参考 strtoupper() - 文字列を大文字にする strtolower() - 文字列を小文字にする ucfirst() ... - 文字列の最初の文字を大文字にする mb_convert_case() - 文字列に対してケースフォー ...
https://man.plustar.jp/php/function.ucwords.html - [similar]
大文字小文字を区別せず、 文字列の中で指定した文字列が最初に現れる位置を探す 6830
« mb_strimwidth mb_stristr » PHP Manual マルチバイト文字列 関数 大文字小文字を区別せず、 文字列の ... tripos (PHP 5 >= 5.2.0, PHP 7, PHP 8) mb_stripos — 大文字小文字を区別せず、 文字列の中で指定した文字列が最初に ... を返します。 mb_strpos() とは異なり、 mb_stripos() は大文字小文字を区別しません。 needle が見つからなかった場合 ... をサポートするようになりました。 参考 stripos() - 大文字小文字を区別せずに文字列が最初に現れる位置を探す str ... に現れる位置を見つける 関連キーワード: 最初 , 位置 , 大文字 , 区別 , 小文字 , stripos , haystack , 指定 , offs ...
https://man.plustar.jp/php/function.mb-stripos.html - [similar]
コードポイントが一般カテゴリ "Lu" (大文字) に属するかを判定する 6830
« IntlChar::isULowercase IntlChar::isUUppercase » PHP Manual IntlChar コードポイントが一般カテゴ ... リ "Lu" (大文字) に属するかを判定する IntlChar::isupper (PHP 7, PH ... Char::isupper — コードポイントが一般カテゴリ "Lu" (大文字) に属するかを判定する 説明 public static IntlChar: ... ol 指定されたコードポイントが、 一般カテゴリ "Lu" (大文字) に属するかを判定します。 注意 : このメソッドのやり ... 方だと、 大文字ではあるものの、違うカテゴリに属する文字を見逃してし ...
https://man.plustar.jp/php/intlchar.isupper.html - [similar]
定義済み定数 6830
« リソース型 サポートされるエンコーディングの概要 » PHP Manual マルチバイト文字列 定義済み定数 定 ... 0.0 で削除されました。 MB_CASE_UPPER ( int ) 完全な大文字のケースフォールディングを実行します。 この操作で、文 ... です。 MB_CASE_TITLE ( int ) Unicodeプロパティ由来の大文字小文字の区別の有無に基づいて、Title-case 変換を実行し ... 性があります。 MB_CASE_FOLD ( int ) 文字列に存在する大文字小文字の区別を取り除くことで、完全なケースフォールデ ... ィング変換を実行します。 これは大文字小文字を区別しないマッチングで使います。 この操作で、 ...
https://man.plustar.jp/php/mbstring.constants.html - [similar]
大文字小文字を区別せず、haystack 文字列の中で needle が最初に登場した場所以降の... 6820
« grapheme_stripos grapheme_strlen » PHP Manual Grapheme 関数 大文字小文字を区別せず、haystack 文 ... P 7, PHP 8, PECL intl >= 1.0.0) grapheme_stristr — 大文字小文字を区別せず、haystack 文字列の中で needle が最初 ... dle , bool $beforeNeedle = false ): string | false 大文字小文字を区別せず、haystack 文字列の中で needle が最初 ... なります。 o%CC%88a%CC%8A 参考 grapheme_stripos() - 大文字小文字を区別せず、文字列内で最初にあらわれる場所の ( ... (書記素単位の) 位置を見つける grapheme_strripos() - 大文字小文字を区別せず、文字列内で最後にあらわれる場所の ( ...
https://man.plustar.jp/php/function.grapheme-stristr.html - [similar]
PREV 1 2 3 4 5 6 7 8 9 10 11 NEXT