検索

phrase: max: clip:
target: order:
Results of 1 - 4 of about 4 for front (0.014 sec.)
モジュールを複数のファイルに分割する - Rust 日本語版 11409
The Rust Programming Language 日本語版 まえがき はじめに 1. 事始め 1.1. インストール 1.2. Hello, ... ァイルをListing 7-21 のコードを持つように変更して、 front_of_house モジュールをそれ専用のファイル src/front_ ... ートでもうまく行きます。 ファイル名: src/lib.rs mod front_of_house; pub use crate::front_of_house::hosting; ... ist(); hosting::add_to_waitlist(); } Listing 7-21: front_of_house モジュールを宣言する。その中身は src/fron ... e.rs 内にある そして、 Listing 7-22 のように、 src/front_of_house.rs には front_of_house モジュールの中身の ...
https://man.plustar.jp/rust/book/ch07-05-separating-modules-into-different-files... - [similar]
モジュールツリーの要素を示すためのパス - Rust 日本語版 10688
The Rust Programming Language 日本語版 まえがき はじめに 1. 事始め 1.1. インストール 1.2. Hello, ... 理由はすぐに説明します。 ファイル名: src/lib.rs mod front_of_house { mod hosting { fn add_to_waitlist() {} } ... restaurant() { // Absolute path // 絶対パス crate::front_of_house::hosting::add_to_waitlist(); // Relative ... path // 相対パス front_of_house::hosting::add_to_waitlist(); } Listing 7- ... でいます。 同じ構造のファイルシステムを想像すれば、 /front_of_house/hosting/add_to_waitlist とパスを指定して ...
https://man.plustar.jp/rust/book/ch07-03-paths-for-referring-to-an-item-in-the-m... - [similar]
useキーワードでパスをスコープに持ち込む - Rust 日本語版 7822
The Rust Programming Language 日本語版 まえがき はじめに 1. 事始め 1.1. インストール 1.2. Hello, ... かわらず、 add_to_waitlist 関数を呼ぼうと思うたびに front_of_house と hosting も指定しないといけませんでした ... 出すことができるのです。 Listing 7-11 では、 crate::front_of_house::hosting モジュールを eat_at_restaurant 関 ... 出せるようにしています。 ファイル名: src/lib.rs mod front_of_house { pub mod hosting { pub fn add_to_waitlis ... t() {} } } use crate::front_of_house::hosting; pub fn eat_at_restaurant() { ho ...
https://man.plustar.jp/rust/book/ch07-04-bringing-paths-into-scope-with-the-use-... - [similar]
モジュールを定義して、スコープとプライバシーを制御する - Rust 日本語版 7027
The Rust Programming Language 日本語版 まえがき はじめに 1. 事始め 1.1. インストール 1.2. Hello, ... 。 レストラン業界では、レストランの一部を 接客部門 (front of house) といい、その他を 後方部門 (back of house ... チャを定義してください。 ファイル名: src/lib.rs mod front_of_house { mod hosting { fn add_to_waitlist() {} f ... fn take_payment() {} } } fn main() {} Listing 7-1: front_of_house モジュールにその他のモジュールが含まれ、さ ... キーワードを書き、次にモジュールの名前(今回の場合、 front_of_house )を指定することで定義されます。 モジュー ...
https://man.plustar.jp/rust/book/ch07-02-defining-modules-to-control-scope-and-p... - [similar]
PREV 1 NEXT